AN EFFICIENT ERROR DETECTION AND CORRECTION METHOD FOR TIMING ERRORS

Authors

  • M. Revathy Assistant Professor, PSNA College of Engineering and Technology,Dindigul-624622,India
  • T.Evangeline Santhia PG scholar, PSNA College of Engineering and Technology,Dindigul-624622,India

DOI:

https://doi.org/10.24297/jac.v12i21.67

Keywords:

Timing errors, Error detection, Error correction, Bit flipping flip flop.

Abstract

Timing errors are an important concern in nanometer CMOS technologies. A promising way to overcome the timing errors is the development of error detection and correction techniques. A local error detection and correction technique is done in this work. It is based on a new bit flipping flip flop. Whenever a timing error is detected, it is corrected by complementing the output of the corresponding flip flop. No extra circuitry is inserted in the design. Timing errors are identified and corrected within a single cycle and hence design complexity is reduced which results in reduced power consumption and low silicon area when compared to the earlier designs.

Downloads

Download data is not yet available.

Author Biographies

M. Revathy, Assistant Professor, PSNA College of Engineering and Technology,Dindigul-624622,India

Department of Electronics and Communication Engineering,

T.Evangeline Santhia, PG scholar, PSNA College of Engineering and Technology,Dindigul-624622,India

Department of Electronics and Communication Engineering,

References

1. T. Austin, D. Blaauw, T. Mudge, and K. Flautner, “Making typical silicon matter with razor,” IEEE Comput., vol. 37, no. 3, pp. 57–65, Mar. 2004.
2. Y. Tsiatouhas and Th. Haniotakis, “A zero aliasing built-in self test technique for delay fault testing,” in Proc. IEEE Symp. Des.Tes-tability of VLSI Syst., 1999, pp. 95–100.
3. L. Anghel and M. Nicolaidis, “Cost reduction and evaluation of temporary faults detecting technique,” in Proc. ACM/IEEE Des.Autom. Test Eur. Conf., 2000, pp. 591–598.
4. S. Matakias, Y. Tsiatouhas, A. Arapoyanni, and T. H. Haniotakis, “A circuit for concurrent detection of soft and timing errors in digital CMOS ICs,” J. Electron. Testing: Theory Appl., vol. 20, no. 5,pp. 523–531, 2004.
5. K. Kang, S. P. Park, K. Kim, and K. Roy, “On-chip variability sen-sor using phase-locked loop for detecting and correcting paramet-ric timing failures,” IEEE Trans. VLSI Syst., vol. 18, no. 2, pp. 270– 280, Feb. 2010.
6. S. Valadimas, Y. Tsiatouhas, and A. Arapoyanni, “Timing error tolerance in nanometer ICs,” in Proc. IEEE Int. On-Line Testing Symp., 2010, pp. 283–288.
7. A. Floros, Y. Tsiatouhas, and X. Kavousianos, “The time dilation scan architecture for timing error detection and correction,” in Proc. IFIP/IEEE Int. Conf. Very Large Scale Integr., 2008, pp. 569–574.
8. S. Valadimas, Y. Tsiatouhas, and A. Arapoyanni, “Cost and power efficient timing error tolerance in flip-flop based microprocessor cores,” in Proc. IEEE Eur. Test Symp., 2012, pp. 8–13
9. S. Das, C. Tokunaga, S. Pant, W-H. Ma, S. Kalaiselvan, K. Lai, D. M. Bull, and D. T. Blaauw, “Razor II: In situ error detection and correction for PVT and SER tolerance,” IEEE J. Solid-State Circuits, vol. 44, no. 1, pp. 32–48, Jan. 2009
10. K. Bowman, J. W. Tschanz, N.-S.Kim, J. C. Lee, C. B. Wilkerson, S.-L. Lu, T. Karnik, and V. K. De, “Energy-efficient and metastability-immune resilient circuits for dynamic variation tolerance,” IEEE J. Solid-State Circuits, vol. 44, no. 1, pp. 49–63,Jan. 2009.
11. J. W. McPherson, “Reliability challenges for 45 nm and beyond,”in Proc. ACM/IEEE Des. Autom. Conf., 2006, pp. 176–181.
12. S. Mitra, N. Seifert, M. Zhang, Q. Shi, and K. S. Kim, “Robust system design with built-in soft-error resilience,” IEEE Comput.,vol. 38, no. 2, pp. 43–52, Feb. 2005.
13. M. Agarwal, B. C. Paul, M. Zhang, and S. Mitra, “Circuit failure prediction and its application to transistor aging,” in Proc. IEEE VLSI Test Symp., 2007, pp. 277–284.
14. M. Agarwal, V. Balakrishnan, A. Bhuyan, K. Kim, B. C. Paul, W.Wang, B. Yang, Y. Cao, and S. Mitra, “Optimized
circuit failure prediction for aging: Practicality and promise,” in Proc. IEEE Int.Test Conf., 2008, pp. 1–10.
15. S.P.Park, K. Roy, and K. Kang, “Reliability implications of bias temperature instability in digital ICs,” IEEE
Des.TestComput.,vol.23,no.6,pp.8–17,Nov.2009.
16. Y. Makris, I. Bayraktaroglou, and A. Orailoglou, “Enhancing reliability of RTL controller-data path circuits via
invariant-based concurrent test,” IEEE Trans. Rel., vol. 53, no. 2, pp. 269–278, Jun. 2004.
17. M. Nicolaidis and Y. Zorian, “On-Line Testing for VLSI—A compendium of approaches,” J. Electron. Testing:
Theory Appl., vol. 12, no. 1/2, pp. 7–20, 1998.
18. C. Metra, R. Degiampietro, M. Favalli, and B. Ricco, “Concurrent detection and diagnosis scheme for transient, delay and crosstalk faults,” in Proc. IEEE Int. On-Line Testing Workshop, 1999,pp. 66–70.
19. M. Nicolaidis, “Time redundancy based soft-error tolerance to rescue nanometer technologies,” in Proc. IEEE VLSI
Test Symp.,1999,pp.86–94.
20. H. Yu, M. Nicolaidis, L. Anghel, and N-E. Zergainoh, “Efficient fault detection architecture design of latch-based low
power DSP/MCU processor,” in Proc. IEEE Eur. Test Symp., 2011,pp. 177–183.

Downloads

Published

2016-12-14

How to Cite

Revathy, M., & Santhia, T. (2016). AN EFFICIENT ERROR DETECTION AND CORRECTION METHOD FOR TIMING ERRORS. JOURNAL OF ADVANCES IN CHEMISTRY, 12(21), 5285–5291. https://doi.org/10.24297/jac.v12i21.67

Issue

Section

Articles