PERFORMANCE ANALYSIS OF WATERMARKING APPROACH FOR VLSI DESIGN INTELLECTUAL PROPERTY PROTECTION

Authors

  • M. MEENAKUMARI Associate Professor, Department of ECE, SNS College of Engineering, Coimbatore

DOI:

https://doi.org/10.24297/jac.v12i20.357

Keywords:

Intellectual Property, Watermarking, Finite state Machine, Advanced Encryption Standard, Message Digest, Signature generation.

Abstract

VLSI technology brought revolution in EDA industry. Fabrication of complicated system on a chip is possible by using reusable module called Intellectual Property (IP) core. IP cores that became an integral part of the electronic design industry influenced and had a rather significant and almost incomparable impact with respect to system designing in any chip. IP designs for any organization are imperative; contrary, IP designs that are shared can significantly cause high security risks. The majority of IP’s require time as well as effort for purposes of designing and verification, however there still remains the possibility of these being copied or minor modifications to hide proof of ownership. To overcome this problem watermarking technique is recommended for IP Core protection. Watermark insertion in multilevel increases the security of the system. In this paper the ownership information is inserted in state transition outputs of State Transition Graph employing hierarchical representation of Finite state Machine (FSM) and subsequently in the netlist level by embedding watermark in the delay between the states. Watermark insertion at two levels increases the security of the design. Signature generation uses cryptographic algorithm for enhancing the security of the IP core designs. The experimental results show that performance is improved.

Downloads

Download data is not yet available.

References

1. Cui, A, Chang CH and Tahar S. 2008. IP Watermarking Using Incremental Technology Mapping at Logic Synthesis Level. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.27, no. 9, 1565-1570.
2. Rajat, SC and Swarup, B. 2011. Security Against Hardware Trojan Attacks Using Key-Based Design Obfuscation. Journal of Electronic Testing, vol.27, no.6, 767-785.
3. Encarnacion, C, Antonio, G, Luis, P and Antonio, L. 2007. IPP @ HDL: Efficient Intellectual Property Protection Scheme for IP Cores. IEEE Transaction on Very Large Scale Integration Systems, vol.15, no.5, 578-591.
4. Oliveira, AL. 1999. Robust techniques for watermarking sequential circuit designs. In Proceedings of the 36th annual ACM/IEEE Design Automation Conference, 837-842.
5. Girault, A, Lee, B and Lee EA. 1999. Hierarchical Finite State Machines with Multiple Concurrency Models. IEEE Transactions On Computer-Aided Design Of Integrated Circuits And Systems, vol. 18, no. 6, 742-760.
6. Torunoglu, I and Charbon, E. 2000. Watermarking-based copyright protection of sequential functions. IEEE Journal of Solid-State Circuits, vol.35, no.3,434-440.
7. Abdel-Hamid, AT, Tahar, S and Aboulhamid, EM. 2005. A public-key watermarking technique for IP designs. Design, Automation and Test in Europe, Proceedings, vol.1, 330- 335.
8. Cui, A, Chang, CH, Tahar, S and Abdel-Hamidm, AT.2011. A Robust FSM Watermarking Scheme for IP Protection of Sequential Circuit Design. IEEE Transactions on Computer-aided Design of Integrated Circuits and Systems, vol. 30, no. 5,.678-690
9. Abhishek, B, Debapriya, BR, Deep, B, Archan, S, Aniket, S, Tirtha, SD and Sarkar, SK 2011. FPGA Implementation of IP Protection through Visual Information Hiding. International Journal of Engineering Science and Technology (IJEST), vol. 3,no. 5,.4191-4199.
10. Arunkumar, P and Shangari, B. 2012. A New FSM Watermarking Method to Making Authorship Proof for Intellectual Property of Sequential Circuit Design Using STG. International Journal of Modern Engineering Research (IJMER), vol.2, no.6, 4159-4161.
11. Jiliang Zhang, Yaping Lin, Yongqiang Lyu, and Gang Qu. 2015.A PUF-FSM Binding Scheme for FPGA IP Protection and Pay-Per-Device Licensing. IEEE Transactions on Information Forensics and Security, Vol. 10, No. 6, 1137-1150.
12. Jiliang Zhang, Qiang Wu, Yi-Peng Ding.2016.Techniques for Design and Implementation of an FPGA-Specific Physical Unclonable Function. Journal of Computer Science and Technology, Vol 31, No 1 . pp 124-136
13. E. Castillo, D. P. Morales, A. García, L. Parrilla, E. Todorovich, and U. Meyer-Baese.2015. Design Time Optimization for Hardware Watermarking Protection of HDL Designs.The Scientific World Journal. Article ID 752969.
14. J.Kufel,Sequence-Aware Watermark Design for Soft IP Embedded Processors.2015. IEEE Transactions on Very Large scale Integration. Volume 24 Issue 1 276-289.
15. Carson Dunbar and Gang Qu. 2015. Satisfiability Don't Care condition based circuit fingerprinting techniques. The 20th Asia and South Pacific Design Automation Conference 19-22 Jan. 2015 .815 – 820.
16. Edward Jung and Seonho Choi. 2015. Identification of IP Control Units by State Encoding. IEEE Computer Society Annual Symposium on VLSI 8-10 July 2015. 216 – 220.
17. Edward jung, Cedric marchand Lilian Bossuet.2015. Identification of embedded control units by state encoding and power consumption analysis. Proceedings of the 30th Annual ACM Symposium on Applied Computing.1957-1959.
18. Dongfang Li , Wenchao Liu , Xuecheng Zou and Zhenglin Liu.2015. Hardware IP Protection through Gate-Level Obfuscation. 14th International Conference on Computer-Aided Design and Computer Graphics (CAD/Graphics) 26-28 Aug. 2015 .186 – 193.

Downloads

Published

2016-12-15

How to Cite

MEENAKUMARI, M. (2016). PERFORMANCE ANALYSIS OF WATERMARKING APPROACH FOR VLSI DESIGN INTELLECTUAL PROPERTY PROTECTION. JOURNAL OF ADVANCES IN CHEMISTRY, 12(20), 5209–5214. https://doi.org/10.24297/jac.v12i20.357

Issue

Section

Articles